MyHDL looks interesting

Gerard Braad me at gbraad.nl
Thu Feb 25 14:40:39 EST 2010


> Date: Thu, 25 Feb 2010 08:25:15 -0800
> From: "Ron K. Jeffries" <rjeffries at gmail.com>
>
> Want to program an FPGA using a Python-ish language? MyHDL may b e interesting.
> http://www.ubuntugeek.com/myhdl-python-based-hardware-description-language.html

Better if you refer to the homepage: http://www.myhdl.org/doku.php/start
The ubuntu Geek website does not work well...

An older article (2004)
http://www.linuxjournal.com/article/7542




More information about the discussion mailing list


interactive